Discovery Fantastic
VHDL (VHSIC HARWDWARE DESCRIPTION LANGUAGE)
VHDL adalah bahasa pemograman yang digunakan untuk menggambarkan rangkaian sirkuit
atau sistem.

Basic pengkodean VHDL
1.Library
2.Entity
3.Architecture

1.Library
Kumpulan dari bagian-bagian kode, dimana bisa mengizinkan kembali penggunaan
librarinya.
CONTOH: IEEE, STD, WORK.

2.Entity
MEndeskripsikan I/O diport atau pin yang digunakan

3.Architecture
Menjalankan proses fungsi dari sirkuitnya bisa dijalankan.



library IEEE;
        use IEEE.std_logic_1164.all;
        use IEEE.std_logic_textio.all;
        use IEEE.std_logic_arith.all;
        use IEEE.numeric_bit.all;
        use IEEE.numeric_std.all;
        use IEEE.std_logic_signed.all;
        use IEEE.std_logic_unsigned.all;
        use IEEE.math_real.all;
        use IEEE.math_complex.all;


    library STD;
    use STD.TEXTIO.all;
    use STD.STANDARD.all;
Library WORK;
semua source code user akan dicompile dan dimasukkan ke dalam library work ini.
kita bisa mengmbilk kata dari work yaitu kerja atau melaksasnakan suatu tugas.

0 Responses

Posting Komentar